基于FPGA的ARINC429总线接口设计与实现

基于FPGA的ARINC429总线接口设计与实现

一、基于FPGA的ARINC429总线接口的设计与实现(论文文献综述)

张永星[1](2021)在《基于CPCI总线的ARINC429通讯模块研制》文中研究指明ARINC429是航空电子设备中广泛使用的一种总线协议。近年来,随着我国航空航天技术不断发展,对航空通讯设备的需求越来越大。国内航空通讯设备所用集成电路芯片长期依赖进口,经常面临各种限制问题,因此我国迫切需要拥有自主研发的航空通讯设备。本论文旨在研制一款基于CPCI总线的国产化ARINC429通讯模块,该模块可以提供4路ARINC429接收通道和2路ARINC429发送通道,采用国内先进的集成电路芯片,实现完全的国产可控。论文主要研究内容如下:1.提出了所用集成电路芯片100%国产化的硬件电路实现方案。采用DSP+FPGA的硬件框架,设计并实现了ARINC429通讯模块的DSP主控单元、FPGA控制单元、CPCI接口单元、存储器单元、电平转换、ARINC429接口单元。2.提出了基于IP核技术的FPGA逻辑实现方案。整体逻辑包括ARINC429接口控制单元、存储器控制单元和CPCI接口控制单元。ARINC429接口控制单元主要完成数据的读写控制;存储器控制单元采用FPGA内部集成的DDR2 IP核控制存储器实现对数据缓存,包括双端口RAM及其读写控制逻辑和DDR2 IP核及其读写控制逻辑;CPCI接口控制单元采用PCI IP核实现了对CPCI接口单元的控制。3.设计实现了ARINC429通讯模块的软件程序。软件程序包括DSP程序和符合VPP标准的仪器驱动程序。DSP程序完成各个模块硬件的控制和上位机的数据交互,仪器驱动程序为用户提供通讯配置函数、数据发送函数和数据接收函数等。通过测试平台对该模块的各项功能和性能指标进行了测试。结果表明该模块完全符合设计要求,并实现了所用集成电路芯片完全国产化。

原芳,黎玉刚,闫锋[2](2020)在《空地导弹发控系统多通道ARINC429通讯的设计与实现》文中认为为实现空地导弹发控系统多通道数据接收和发送的实时性,提出基于PowerPC+FPGA主从处理器架构的解决方案。该方案结合PowerPC高速处理能力和FPGA强大扩展功能,且利用VxWorks实时操作系统多任务的特点,实现多通道ARINC429通讯并行处理,有效提高系统的实时响应能力。设计的发控系统能够完成多路ARINC429信号的接收、处理、转换和发送,实现通道间灵活切换,数据传输效率高、无误码。经地面飞行试验验证,该系统性能稳定、实时性好、可靠性高。

迟文强[3](2020)在《基于VxWorks的航电系统远程数据集中器研究》文中进行了进一步梳理航空电子系统是民用飞机的重要组成部分,随着航电系统不断的升级,新一代的民用飞机使用综合模块化航空电子系统(Integrated Modular Avionics,IMA)。在IMA架构中,远程数据集中器负责不同类型的航空总线数据之间的信息交互,起着至关重要的作用。由于目前基于Windows系统开发的远程数据集中器在输出信号的实时性方面存在不足,因此,基于实时操作系统的远程数据集中器的研究,对于保障航电仿真系统数据传输的实时性有着重要的意义。本文基于VxWorks操作系统开发的远程数据集中器,能够为航电仿真系统提供稳定的实时总线数据信号。以ARINC429总线数据、AFDX总线数据和离散量信号三种航空总线数据作为研究基础,采用航电系统半实物仿真技术,构建一个完整的、稳定的、具备数据转换、信息配置和数据监控等功能的远程数据集中器。首先,对远程数据集中器的功能需求进行分析,提出远程数据集中器的设计原则与设计目标,设计出远程数据集中器的整体架构,搭建出系统层次化模型,并对航空总线的数据类型、收发方式以及远程数据集中器硬件平台的搭建展开研究。其次,完成对基于PCI-E的ARINC429板卡、离散量控制卡的研究设计,搭建出基于VxWorks系统的硬件平台,在此基础上对远程数据集中器的功能模块展开详细设计,主要包括编码、解码模块、数据转换模块、系统配置模块、数据缓冲模块、数据监控模块。最后,针对远程数据集中器的设计目标提出一套完整的测试方案,并对远程数据集中器的功能展开测试,通过实验结果证明远程数据集中器可以实现预期功能的设计,远程数据集中器处理总线数据的时延能够达到毫秒级,更能满足航电仿真系统对于总线数据传输的实时性要求,为新一代航电系统的开发验证提供支持。因此,本文基于VxWorks系统设计的远程数据集中器能够达到设计要求。

万金诚[4](2020)在《机载气象雷达半实物仿真系统关键技术研究》文中认为针对真实机载气象雷达系统在教学实训中存在雷达波辐射安全隐患、可探测气象目标有限以及纯数字仿真雷达操作使用效果不佳,难以很好满足现实教学实训等问题,本文采用半实物仿真技术设计了机载气象雷达仿真系统,雷达探测利用仿真实现,雷达操控利用实物实现,有效降低仿真系统成本和开发周期,有利于提高教学实训效果,拓展机务维修训练手段。本文首先介绍了雷达半实物仿真技术和雷达气象图像仿真算法的研究现状及其在工程应用中的不足;其次分析了真实机载气象雷达结构和原理,并结合机载气象雷达教学实训需求,提出了仿真系统的总体设计方案,包括系统硬件设计和系统软件设计。在系统硬件方面,以WCP-701型气象雷达控制盒和WMA-701型雷达天线组件作为硬件实物,采用计算机作为控制核心,结合相应的外围功能电路,完成了系统硬件设计。针对计算机与硬件实物的关联交互,重点设计了雷达控制盒的ARINC429总线通信电路和基于PCI运动控制卡的雷达天线运动控制电路。在系统软件方面,采用WPF(Windows Presentation Foundation)技术进行仿真控制软件设计,软件界面采用XAML语言设计,用C#语言完成软件功能模块的程序设计。主要研究了ARINC708气象数据的解析算法,设计了基于解析数据的成像方法,实现了实训环境下气象信息生成及多种气象目标仿真显示;分析总结雷达组件的附件维修手册中测试流程,设计了雷达组件维修测试程序,并完成了对雷达天线的运动性能测试和雷达控制盒的功能测试。最后,本文设计并搭建了机载气象雷达半实物仿真系统,验证结果表明,仿真系统实现了机载气象雷达的显示功能和控制功能。该仿真系统已应用于实际教学工作,取得了良好的效果。

高猛[5](2020)在《机载多普勒测速雷达信号处理系统的设计与实现》文中提出多普勒测速雷达作为多普勒导航系统中最重要的一部分,直接决定了多普勒导航系统的测速与导航精度。单频连续波雷达具有重量轻、体积小和作用高度可以为零的优点,广泛应用于多项领域。针对国内低功率、低成本的民用直升机机载多普勒测速雷达产品较少的情况,本文主要完成了基于FPGA+DSP的单频连续波多普勒测速雷达信号处理系统的设计与实现。首先,介绍了机载连续波雷达的测速原理,分析了不同波束配置下雷达测速误差,并描述了相关信号处理技术,包括数字采样、数字下变频、耦合泄露抑制、恒虚警检测、多普勒频率校验和卡尔曼滤波;其次,在单频连续波雷达体制下根据系统指标和要求完成了多普勒测速雷达的信号处理系统方案的设计,包括信号处理算法方案和硬件方案;然后,利用FPGA高速数字运算的能力对信号处理系统算法方案进行了仿真与实现,并给出了仿真结果,包括数字下变频模块、耦合泄露抑制模块、多普勒频率测量模块、恒虚警检测模块、卡尔曼滤波模块以及SRIO和ARINC-429接口通信模块;最后,在系统硬件平台上对各个模块进行功能验证,并将调试完毕的雷达样机进行试验,实地分析其性能。试验结果表明,多普勒测速雷达信号处理系统的设计满足要求,实现的信号处理系统工作正常,算法方案可行。

王浩[6](2020)在《通航机载FPGA硬件适航符合性验证方法研究》文中研究指明突破航空电子产品适航验证技术,是我国成长为航空强国必须跨越的关键门槛之一。研究针对复杂电子硬件的审定验证方法,对促进国产航电适航取证、提升航电国产化率,具有重要的现实意义。本论文以四川省重大科技专项“国产化航电产品适航体系的建设”(18ZDZX0105)为课题背景,专注于探索对国产民航(包括通航)机载FPGA进行适航符合性验证过程的需求评审、故障预测、测试计划、测试执行等重要环节的实施方法。针对适航理论,通过文献查阅和学术交流的方式,探索我国航空机载复杂电子硬件(含FPGA)研发体系与适航体系错位的原因,并提出有关改良或自建硬件适航体系的建议;从民航标准、军标、国标、航天标准等系列规范性文件入手,分析围绕FPGA的安全性设计程序,总结FPGA全周期适航要求,提出将可行的实践方法与适航规章严格对应的“三步FPGA适航验证方法”,为后续进行工程技术研究和验证实践奠定法理基础。针对验证实践,以DO-254为主要参考,结合FPGA的自身特点,给出国产航电FPGA底层需求评审的考虑依据和方法;以FTA和FMEA分析方法为基础,融入需求反向确认逻辑,提出BFA双向分析故障预测模型;以FPGA测试评价与验证原理为主导,以各领域FPGA测试标准为基准,将BFA模型预测出的故障进行筛选,得出最小故障割集,并针对最小割集设计测试计划;在测试计划的框架下,基于可获得可鉴定的研发环境,以设计检查结合EDA仿真的模式,给出基于Testbench的机载FPGA硬件模块验证方法。在上述研究中,为体现所总结程序以及提出方法的有效性,整个从需求确认到验证方法说明的过程,均以国产某龙XX600水陆两栖通航飞机配套产品AGU(音频网关单元)中的ARINC429通信接口FPGA模块为开发对象全程实践,以保证本文理论与实践的有机结合。在本文的创新性成果中,结合FTA和FMEA设计的BFA双向分析故障预测模型和基于可行性和适航理论的机载FPGA验证三步法为核心成果。上述成果在安全性保证理念上与DO-254高度一致,实现了验证实践方法与适航规章之间的对应,为通航机载FPGA进行适航符合性验证提供理论和实践参考。

阳一涵[7](2019)在《基于UVM的ARINC429总线接口模块验证平台的设计与实现》文中提出ARINC429总线是全球航空电子系统中最常用的通讯总线之一,本课题所验证的ARINC429总线接口模块属于SoC上的外设接口模块,通过APB接口与CPU进行通信,根据ARINC429总线协议外接A/D和D/A电路接收差分比特流和发送差分比特流。对ARINC429总线接口模块进行高效、完备的功能验证对于保证航空安全具有重要意义,而在当前工艺制程愈发先进的背景下,SoC功能复杂度以及集成规模不断提高,传统的基于Verilog HDL的验证平台已无法满足日益增长的验证需求。本文以对SoC上的ARINC429总线接口模块进行模块级验证为背景,分析对比了当前主流验证语言和验证方法学的优劣,深入研究了当前主流的UVM验证平台结构以及UVM验证方法学的机制和优势,选择基于UVM搭建结构抽象化、自动化程度高、可重用性强的验证平台进行模块级验证。本文深入分析了ARINC429总线接口模块的结构和功能,对其各个子模块的工作原理进行了研究,在此基础上提取了验证功能点。针对功能点的验证需求,采用面向对象编程及事务级建模的思想设计了平台的子环境、参考模型、计分板、断言、功能覆盖率等组件,实现了基于UVM的ARINC429总线接口模块验证平台;平台创新性地采用了自动更新的聚合参数,在仿真过程中能实时调整平台参数,提高了验证平台的自动化程度,并避免了参考模型使用寄存器模型读取寄存器配置而导致的参考模型的非独立性。基于该验证平台,围绕验证功能点编写了定向和随机测试用例,覆盖正常和异常工作场景。在仿真完所有的测试用例后收集了代码覆盖率和功能覆盖率,分析测试用例未覆盖的点,确保验证工作的完备性。经工程实践证明,同原有Verilog HDL验证平台相比,本文所设计的UVM验证平台结构清晰,层次分明,支持实时对数据进行对比,提高了验证效率;同当前国内外通用的UVM验证平台设计方式相比,本平台在设计中采用了自动更新的聚合参数,提高了平台的自动化程度,缩短了验证周期。仿真结束后收集的代码覆盖率达到90%以上、功能覆盖率达到100%,符合预期目标。同时,平台中的部分组件已成功移植到其他工程中,具有良好的可重用性。

屈延东,饶晓宇[8](2019)在《基于虚拟仪器的ARINC429通讯系统设计》文中进行了进一步梳理ARINC 429是一种用于飞机各系统间或系统与设备间互连的航空总线,是各系统间或系统与设备间数字信息传输的主要路径,是飞机的神经网络。本文针对在虚拟仪器平台上实现航空电子总线ARINC429通讯系统的目的,采用硬件电路设计与LabVIEW FPGA软件编程,通过ARINC429数据通信系统的软硬件系统联调与接口收发通信的性能试验,得出ARINC429航空电子总线通讯系统的设计,数据传输速率可达到12.5 kbps,验证了该系统设计的实用性和有效性。

芦浩[9](2019)在《基于动态重配置的航空总线单粒子翻转效应测试系统研究》文中进行了进一步梳理随着电子技术和半导体工业的发展,机载电子设备日益增多,以静态随机存取存储器(SRAM,Static Random Access Memory)型FPGA(Field Programmable Gate Array)为代表的大规模集成电路(IC,Integrated Circuit),因其高集成度、高运行速度、可反复编写等特点,在航空领域得到了越来越多的应用。但由于半导体工艺尺寸的不断缩小,IC上器件的尺寸、阈值电压不断降低,使得航空辐射环境造成IC发生单粒子翻转效应的风险增大,从而对航空器安全飞行构成威胁。因此,航空单粒子效应已受到各国民航局和航空工业的广泛关注。考虑到采用飞行搭载实验和地面实验装置模拟测试进行航空单粒子翻转效应验证的诸多不便利性,因此需要提出一种对航空单粒子翻转效应测评更为高效的方法。本文围绕机载电子设备的航空单粒子翻转效应测试需求,选取航空器上易产生单粒子翻转效应的SRAM型FPGA作为研究对象,根据器件的单粒子翻转效应机理,基于动态重配置技术进行了航空单粒子翻转效应测试系统研究。首先通过对航空器飞行条件下大气辐射环境的研究,确定了大气中子是造成机载电子设备发生单粒子翻转效应的主要辐射源,基于Boeing经验模型提出了一种基于协同进化的生成航空单粒子翻转故障数据的方法,结合SRAM型FPGA器件型号确定翻转率并随机生成翻转故障位置,为航空器单粒子翻转效应提供故障数据输入;其次对SRAM型FPGA发生航空单粒子翻转效应机理进行研究,确定了采用动态重配置技术以故障注入的方式实现等效单粒子翻转效应的方案思路;再次为满足动态重配置技术要求利用Altium Designer软件自主设计和搭建多FPGA的硬件测试平台,并完成了故障注入的FPGA逻辑设计,以故障注入的方式实现了SRAM型FPGA器件等效航空单粒子翻转效应的功能,然后利用LabVIEW完成了可视化上位机界面开发,实现测试系统的流程控制、信息处理及显示功能;最后以ARINC429总线为测试对象,设计测试电路进行航空单粒子翻转测试系统验证,得到在目标情况下功能失效率,验证测试系统的有效性。

尤然[10](2019)在《高安全性航空总线转接器的设计与实现》文中研究说明随着我国航空业的不断发展,航电系统日益复杂,先后经历了分立式、联合式、综合式和先进综合式四个发展阶段。同时,航电系统的主流航空总线逐渐由ARINC429总线变为AFDX总线。在当今IMA架构中,虽然使用AFDX总线作为主干网络总线,但在某些子系统中依旧保留了ARINC429总线。当ARINC429总线数据并入到主干网络时,存在总线协议、物理接口不匹配等问题,因此需要通过总线转接器进行总线转接。总线转接器在系统中起着类似结点的作用,当ARINC429总线用在某些安全关键系统时,若总线转接器出现数据错误,就会影响飞机的安全性,甚至造成灾难性事故,因此对高安全性航空总线转接器的研究有着重要意义。高安全性航空总线转接器是基于SOPC技术,以NIOS II软核为主控制器,采用软硬件协同设计的方法实现的,具有高安全性、可移植、可在线升级更新等特点。本文首先对航空总线转接器进行功能需求分析和安全性需求分析,通过分析确定了总线转接器要实现的功能和安全性目标。在此基础上确定了系统的架构和包含的功能子模块,如:AFDX接口及数据处理模块、协议转换及ARINC429接口电路和由存储模块及EDAC电路组成的存储检错纠错模块等。然后在系统总体设计的基础上,进行总线转接器的软硬件设计。最后,通过仿真、测试的方法验证了各功能模块及系统能够正确地实现其相应功能;通过对系统进行安全性分析,验证了系统能够满足预期安全性目标。

二、基于FPGA的ARINC429总线接口的设计与实现(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、基于FPGA的ARINC429总线接口的设计与实现(论文提纲范文)

(1)基于CPCI总线的ARINC429通讯模块研制(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状
        1.2.1 ARINC429 总线协议发展现状
        1.2.2 ARINC429 通讯模块发展现状
        1.2.3 ARINC429 接口芯片发展现状
    1.3 论文结构及内容安排
第二章 总体方案
    2.1 设计要求
        2.1.1 功能要求
        2.1.2 性能要求
    2.2 模块总体方案
        2.2.1 方案设计
        2.2.2 器件选型
    2.3 本章小结
第三章 硬件电路及逻辑设计
    3.1 电路设计
        3.1.1 DSP电路设计
        3.1.2 FPGA电路设计
        3.1.3 CPCI接口电路设计
        3.1.4 存储器电路设计
        3.1.5 电平转换电路设计
        3.1.6 ARINC429 接口电路设计
    3.2 逻辑设计
        3.2.1 ARINC429 接口控制单元
        3.2.2 存储器控制单元
        3.2.3 CPCI接口控制单元
    3.3 本章小结
第四章 软件设计
    4.1 仪器驱动程序设计
        4.1.1 基本操作类
        4.1.2 通讯配置类
        4.1.3 功能应用类
    4.2 DSP程序设计
    4.3 本章小结
第五章 系统测试与结果分析
    5.1 测试平台
    5.2 通讯模块功能测试
        5.2.1 基本功能测试
        5.2.2 数据收发测试
    5.3 测试结果分析
    5.4 本章小结
第六章 结论
参考文献
致谢
攻读硕士期间的学术成果
附录1 ARINC429通讯模块实物图
附录2 ARINC429通讯模块部分原理图

(2)空地导弹发控系统多通道ARINC429通讯的设计与实现(论文提纲范文)

0 引言
1 ARINC429总线介绍
2 硬件设计
    2.1 总体设计
    2.2 ARINC429数据传输模块设计
3 FPGA设计
4 PowerPC软件设计
    4.1 发送模块软件设计
    4.2 接收模块软件设计
5 试验验证
6 结束语

(3)基于VxWorks的航电系统远程数据集中器研究(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 论文的背景及意义
    1.2 国内外研究现状
        1.2.1 VxWorks操作系统发展及概述
        1.2.2 远程数据集中器发展及概述
    1.3 课题研究内容及组织结构
        1.3.1 课题研究内容
        1.3.2 课题的组织结构
第二章 远程数据集中器的分析与设计
    2.1 VxWorks系统优势
    2.2 远程数据集中器的整体设计
        2.2.1 远程数据集中器设计目标与设计原则
        2.2.2 远程数据集中器整体框架分析
        2.2.3 硬件平台研究
        2.2.4 软件功能分析
    2.3 远程数据集中器总线数据类型
        2.3.1 AFDX总线数据分析
        2.3.2 ARINC429总线数据分析
        2.3.3 离散量类型数据分析
    2.4 远程数据集总线数据收发模式分析
        2.4.1 周期性收发数据
        2.4.2 触发式收发数据
    2.5 本章小结
第三章 远程数据集中器的硬件平台构建与实现
    3.1 飞思卡尔T4240 平台上的Vx Works系统移植
        3.1.1 VxWorks板级开发包的结构及功能
        3.1.2 BSP文件配置
        3.1.3 编译和下载VxWorks系统映像
    3.2 板卡总体设计
        3.2.1 PCI-E接口电路设计
        3.2.2 FPGA控制单元电路
        3.2.3 电源电路设计
    3.3 基于PCI-E的 ARINC429 板卡设计
        3.3.1 ARINC429信号发送电路设计
        3.3.2 ARINC429信号接收电路设计
        3.3.3 ARINC429驱动电路电源设计
        3.3.4 ARINC429总线驱动电路设计
        3.3.5 ARINC429 板卡的PCB设计
    3.4 基于PCI-E的 I/O控制卡(离散量)设计
        3.4.1 数字隔离输入电路设计
        3.4.2 数字隔离输出电路设计
        3.4.3 输入输出电源电路设计
        3.4.4 输入输出接口设计
        3.4.5 离散量控制卡的PCB设计
    3.5 总线板卡驱动程序设计
    3.6 本章小结
第四章 远程数据集中器的软件功能设计与实现
    4.1 总线数据编、解码模块设计
        4.1.1 ARINC429编、解码模块
        4.1.2 AFDX编、解码模块
        4.1.3 离散量数据编、解码模块
    4.2 功能层模块设计
        4.2.1 系统配置模块
        4.2.2 数据缓冲模块
        4.2.3 数据转换模块
        4.2.4 数据监控模块
    4.3 本章小结
第五章 远程数据集中器的验证测试
    5.1 ARINC429到AFDX数据转换测试
    5.2 AFDX到 ARINC429 数据转换测试
    5.3 离散量到AFDX数据转换测试
    5.4 AFDX到离散量数据转换测试
    5.5 远程数据集中器的性能测试
    5.6 本章小结
结论与展望
致谢
参考文献
作者简介

(4)机载气象雷达半实物仿真系统关键技术研究(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 研究背景和意义
    1.2 研究现状及分析
        1.2.1 雷达半实物仿真技术研究现状
        1.2.2 气象图像仿真的研究现状
    1.3 本文的主要内容与结构安排
第二章 机载气象雷达半实物仿真系统总体设计
    2.1 机载气象雷达组成及功能
    2.2 系统功能需求分析
    2.3 系统总体架构
        2.3.1 系统整体结构
    2.4 系统关键技术分析
        2.4.1 气象图像仿真
        2.4.2 雷达故障模拟
    2.5 本章小结
第三章 系统硬件设计
    3.1 系统硬件总体结构
    3.2 ARINC429通信电路设计
        3.2.1 ARINC429接口电路设计
        3.2.2 总线协议转换模块
    3.3 运动控制电路设计
    3.4 故障测试面板设计
        3.4.1 控制器模块设计
        3.4.2 串口通信电路设计
        3.4.3 继电器电路设计
    3.5 EFIS仿真面板设计
    3.6 本章小结
第四章 系统仿真控制软件设计
    4.1 软件总体设计
        4.1.1 软件功能需求
        4.1.2 软件架构设计
        4.1.3 软件开发环境选择
    4.2 软件界面设计
        4.2.1 界面数据绑定
    4.3 气象图像仿真模块
        4.3.1 ARINC708气象数据协议解析
        4.3.2 坐标变换
        4.3.3 插值策略
        4.3.4 气象图像仿真实现流程
    4.4 天线测试模块
        4.4.1 运动控制卡配置
        4.4.2 运动控制函数库调用
        4.4.3 天线测试程序实现
    4.5 ARINC429数据解析
    4.6 用户管理模块
    4.7 本章小结
第五章 系统功能验证
    5.1 半实物仿真系统搭建
    5.2 雷达运行仿真验证
        5.2.1 雷达工作画面显示
        5.2.2 插值策略验证
    5.3 附件测试功能验证
        5.3.1 WCP测试验证
        5.3.2 WMA测试验证
    5.4 出现问题与解决办法
        5.4.1 原因分析
        5.4.2 解决方法
    5.5 本章小结
第六章 总结与展望
    6.1 全文工作总结
    6.2 未来工作展望
致谢
参考文献
攻读硕士学位期间科研成果

(5)机载多普勒测速雷达信号处理系统的设计与实现(论文提纲范文)

摘要
Abstract
1 绪论
    1.1 研究背景及意义
    1.2 国内外研究状况
    1.3 论文主要工作及结构安排
        1.3.1 论文的主要工作
        1.3.2 论文的结构安排
2 机载多普勒测速雷达信号处理理论
    2.1 雷达测速原理
        2.1.1 雷达波束配置
        2.1.2 多普勒频谱特性
    2.2 数字采样技术
    2.3 数字下变频技术
    2.4 耦合泄露抑制
    2.5 恒虚警检测
    2.6 多普勒频率校验
    2.7 卡尔曼滤波
    2.8 本章小结
3 机载多普勒测速雷达信号处理系统方案设计
    3.1 信号处理总体方案设计
        3.1.1 信号处理系统设计要求
        3.1.2 雷达整机工作流程
        3.1.3 信号处理系统方案
    3.2 信号处理算法设计
        3.2.1 信号波形参数设计
        3.2.2 信号处理算法设计
    3.3 信号处理硬件系统方案设计
        3.3.1 系统要求分析
        3.3.2 主要芯片选型
        3.3.3 通讯接口设计
    3.4 本章小结
4 机载多普勒测速雷达信号处理系统实现
    4.1 数字下变频模块
        4.1.1 AD采样
        4.1.2 数字自动增益控制
        4.1.3 数字正交解调
    4.2 耦合泄露抑制模块
    4.3 多普勒频率测量模块
    4.4 恒虚警检测模块
    4.5 卡尔曼滤波模块
    4.6 SRIO通讯模块
    4.7 ARINC-429通讯模块
    4.8 本章小结
5 系统验证
    5.1 信号处理系统硬件平台
    5.2 信号处理模块
        5.2.1 AD采样及数字下变频
        5.2.2 耦合泄露抑制模块
        5.2.3 多普勒频率测量模块
        5.2.4 恒虚警检测
        5.2.5 卡尔曼滤波
    5.3 通讯接口模块
        5.3.1 SRIO接口
        5.3.2 ARINC-429接口
    5.4 系统实测验证
    5.5 本章小结
6 总结与展望
致谢
参考文献
附录

(6)通航机载FPGA硬件适航符合性验证方法研究(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 研究背景
    1.2 国内外研究现状
        1.2.1 国外研究现状
        1.2.2 国内研究现状
        1.2.3 国内通航FPGA研发与适航体系不兼容原因分析
        1.2.4 国内通航FPGA适航符合性设计程序改良建议
    1.3 主要研究内容
    1.4 论文结构安排
第二章 机载FPGA适航体系
    2.1 通航复杂电子硬件适航体系
    2.2 机载电子设备硬件设计保证指南(DO-254)
    2.3 非民用航空FPGA安全性设计保证体系
    2.4 机载FPGA设计保证与验证过程
    2.5 本章小结
第三章 机载FPGA需求分析与评审
    3.1 基于FPGA设计特定机载模块实现IP化的必要性
    3.2 机载FPGA安全性需求分析与评审方法
    3.3 ARINC429 总线接口FPGA需求评审
        3.3.1 ARINC429 总线协议
        3.3.2 ARINC429 总线接口顶层需求评审
        3.3.3 ARINC429 总线接口FPGA设计需求评审
    3.4 本章小结
第四章 面向FPGA底层需求的测试计划设计
    4.1 测试计划的功能及其本质
        4.1.1 测试计划的功能
        4.1.2 测试计划的本质
    4.2 面向底层需求的BFA故障预测模型
        4.2.1 故障树分析法(FTA)
        4.2.2 故障模式及影响分析法(FMEA)
        4.2.3 双向失效分析(BFA)模型
    4.3 机载FPGA测试方法
    4.4 面向ARINC429 总线接口FPGA的测试计划
        4.4.1 针对测试计划的需求整合
        4.4.2 基于BFA模型的预测故障
        4.4.3 针对潜在故障的测试方案
    4.5 本章小结
第五章 针对测试计划的验证方法
    5.1 验证方法的本质及其作用
        5.1.1 验证方法的本质
        5.1.2 验证方法的作用
    5.2 以Testbench为核心的验证方法
        5.2.1 基于可鉴定工具的验证环境
        5.2.2 基于Testbench的 FPGA验证
    5.3 针对ARINC429 接口FPGA的验证
        5.3.1 ARINC429 接口FPGA验证执行方案
        5.3.2 通过设计检查的验证
        5.3.3 通过逻辑仿真的验证
    5.4 ARINC429 接口FPGA适航符合性验证结果
        5.4.1 验证结果
        5.4.2 验证过程总结
    5.5 机载FPGA硬件适航符合性三步验证法
    5.6 本章小节
第六章 总结与展望
    6.1 研究总结
    6.2 研究成果
    6.3 研究展望
致谢
参考文献
攻读硕士学位期间取得的成果

(7)基于UVM的ARINC429总线接口模块验证平台的设计与实现(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 研究背景及意义
    1.2 国内外研究现状及发展趋势
        1.2.1 主流验证技术
        1.2.2 验证语言和验证方法学
    1.3 课题的来源
    1.4 论文的组织结构
第二章 UVM平台结构及机制研究
    2.1 SystemVerilog验证语言特性
    2.2 UVM验证平台基本结构分析
    2.3 UVM验证方法学机制研究
        2.3.1 UVM的 phase机制
        2.3.2 UVM的 sequence机制
        2.3.3 UVM的 factory机制
        2.3.4 UVM的 TLM通信
        2.3.5 UVM的寄存器模型
    2.4 本章小结
第三章 ARINC429 总线接口模块与验证需求分析
    3.1 ARINC429 总线协议研究
    3.2 ARINC429 总线接口模块分析
        3.2.1 接口模块工作原理
        3.2.2 接口信号及寄存器组成
    3.3 子模块结构及工作原理研究
        3.3.1 APB接口模块研究
        3.3.2 发送模块研究
        3.3.3 接收模块研究
        3.3.4 采样模块研究
    3.4 验证需求分析
    3.5 本章小结
第四章 基于UVM的验证平台设计与实现
    4.1 验证目标
    4.2 验证平台总体框架设计
    4.3 APB验证环境构建
        4.3.1 APB事务包设计
        4.3.2 APB序列设计
        4.3.3 APB驱动器设计
        4.3.4 APB监测器设计
        4.3.5 APB代理设计
        4.3.6 APB环境设计
    4.4 c429 验证环境构建
        4.4.1 RX驱动器设计
        4.4.2 TX监测器设计
    4.5 RST验证环境构建
    4.6 自动对比组件实现
        4.6.1 c429 模型设计
        4.6.2 c429 计分板设计
    4.7 时序及功能检查组件实现
        4.7.1 断言组件设计
        4.7.2 功能覆盖率组件设计
    4.8 本章小结
第五章 仿真验证及结果分析
    5.1 创建仿真环境
    5.2 编写测试用例及仿真验证
        5.2.1 BIST功能验证
        5.2.2 寄存器功能验证
        5.2.3 发送功能验证
        5.2.4 接收功能验证
        5.2.5 回环功能验证
        5.2.6 滤毛刺功能验证
    5.3 覆盖率分析
        5.3.1 代码覆盖率分析
        5.3.2 功能覆盖率分析
    5.4 本章小结
第六章 总结与展望
    6.1 全文总结
    6.2 创新性分析
    6.3 未来展望
参考文献
致谢
作者简介

(8)基于虚拟仪器的ARINC429通讯系统设计(论文提纲范文)

1 系统总体设计
2 硬件设计
3 软件设计
4 系统性能测试
5 结束语

(9)基于动态重配置的航空总线单粒子翻转效应测试系统研究(论文提纲范文)

摘要
Abstract
缩略语
第一章 绪论
    1.1 研究背景与意义
        1.1.1 研究背景
        1.1.2 研究意义
    1.2 国内外研究现状
        1.2.1 国外研究现状
        1.2.2 国内研究现状
    1.3 本文内容和安排
第二章 测试系统总体方案设计
    2.1 航空单粒子效应
        2.1.1 航空单粒子效应类别
        2.1.2 单粒子翻转效应机理
    2.2 航空辐照环境
    2.3 动态重配置技术
        2.3.1 故障注入
        2.3.2 动态重配置实现
    2.4 系统总体方案
    2.5 本章小结
第三章 航空单粒子翻转效应测试系统的硬件研制
    3.1 硬件架构设计
    3.2 电路板卡设计与制作
        3.2.1 电源电路
        3.2.2 配置电路
        3.2.3 信息存储电路
        3.2.4 ARINC429 总线接口电路
    3.3 FPGA设计与实现
        3.3.1 功能模块
        3.3.2 模块通信
    3.4 本章小结
第四章 航空单粒子翻转效应测试系统的软件实现
    4.1 软件架构设计
    4.2 单粒子翻转故障数据生成功能
        4.2.1 中子通量模拟生成
        4.2.2 功能实现
    4.3 测试控制功能
    4.4 显示及数据处理功能
    4.5 本章小结
第五章 航空单粒子翻转效应测试系统验证
    5.1 系统搭建及测试步骤
    5.2 ARINC429 总线单粒子翻转测试
        5.2.1 测试电路功能验证
        5.2.2 单粒子翻转故障注入测试及分析
    5.3 本章小结
第六章 总结与展望
致谢
参考文献
作者简介

(10)高安全性航空总线转接器的设计与实现(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 论文研究背景及意义
    1.2 国内外研究现状
        1.2.1 国外对RDC及相关产品的研究现状
        1.2.2 国内对RDC及相关产品的研究现状
    1.3 论文主要工作及章节安排
第二章 需求分析及总体方案设计
    2.1 需求分析
        2.1.1 功能需求分析
        2.1.2 安全性需求分析
    2.2 总体方案设计
    2.3 本章小结
第三章 协议转换规则及EDAC电路实现原理
    3.1 航空总线协议转换规则
    3.2 EDAC电路的实现原理
        3.2.1 EDAC电路编码方法选择
        3.2.2 生成矩阵、监督矩阵及错误图样
    3.3 本章小结
第四章 航空总线转接器的设计
    4.1 航空总线转接器硬件的设计
        4.1.1 AFDX接口及数据处理模块设计
        4.1.2 存储检错纠错模块设计
        4.1.3 协议转换及ARINC429 接口电路
    4.2 航空总线转接器软件的设计
        4.2.1 设备驱动层及硬件抽象层
        4.2.2 μC/OS-II实时操作系统
        4.2.3 NicheStack TCP/IP协议栈层及系统初始化层
        4.2.4 AFDX接口及数据处理模块的软件设计
        4.2.5 EDAC控制模块的设计
        4.2.6 协议转换及ARINC429 接口电路的软件设计
    4.3 本章小结
第五章 单功能模块及系统的仿真、测试与分析
    5.1 单功能模块的仿真测试
        5.1.1 AFDX接口及数据处理模块的仿真和测试
        5.1.2 协议转换及ARINC429 接口电路仿真分析
        5.1.3 存储检错纠错模块仿真
    5.2 总线转接器功能的验证与测试
    5.3 航空总线转接器系统安全性目标分析
    5.4 本章小结
第六章 总结与展望
    6.1 论文工作总结
    6.2 研究展望
致谢
参考文献
作者简介

四、基于FPGA的ARINC429总线接口的设计与实现(论文参考文献)

  • [1]基于CPCI总线的ARINC429通讯模块研制[D]. 张永星. 内蒙古大学, 2021(12)
  • [2]空地导弹发控系统多通道ARINC429通讯的设计与实现[J]. 原芳,黎玉刚,闫锋. 弹箭与制导学报, 2020(04)
  • [3]基于VxWorks的航电系统远程数据集中器研究[D]. 迟文强. 中国民航大学, 2020(01)
  • [4]机载气象雷达半实物仿真系统关键技术研究[D]. 万金诚. 中国民航大学, 2020(01)
  • [5]机载多普勒测速雷达信号处理系统的设计与实现[D]. 高猛. 南京理工大学, 2020(01)
  • [6]通航机载FPGA硬件适航符合性验证方法研究[D]. 王浩. 电子科技大学, 2020(07)
  • [7]基于UVM的ARINC429总线接口模块验证平台的设计与实现[D]. 阳一涵. 西安电子科技大学, 2019(02)
  • [8]基于虚拟仪器的ARINC429通讯系统设计[J]. 屈延东,饶晓宇. 电子设计工程, 2019(10)
  • [9]基于动态重配置的航空总线单粒子翻转效应测试系统研究[D]. 芦浩. 中国民航大学, 2019(02)
  • [10]高安全性航空总线转接器的设计与实现[D]. 尤然. 中国民航大学, 2019(02)

标签:;  ;  ;  ;  ;  

基于FPGA的ARINC429总线接口设计与实现
下载Doc文档

猜你喜欢